Board logo

Subject: Suggestion: Block lines (if then, begin end) [Print This Page]

Author: jac2jac2    Time: 2008-9-5 18:13     Subject: Suggestion: Block lines (if then, begin end)

D7.
I think the block lines are amazing, they really help.
The only time the fall short is when you have an if then else, where the else element is a single statement. Normally indentation ensures it is obvious that the next line after the else statement is always executed.  It would be good if the line could extend to the end of that else statement, to make it obvious.
e.g.
|if something then
|  do
|else
| do something else;
Carry on

I hope that makes sense.

As always, thanks for a great package.
Author: jac2jac2    Time: 2008-9-5 18:17

example.

Image Attachment: [example] 2008-09-05_1058.png (2008-9-5 18:17, 7.28 K) / Download count 502
http://bbs.cnpack.org/attachment.php?aid=495


Author: Passion    Time: 2008-9-5 20:31

Oh, our lines now only process to the keywords, no analysis to the clauses between keywords.

If add this function, it will changes a lot in our code for our design limitation.

And if the clause after else is included, how about the one after "if then"? And while do, for do? I think it brings much change to our CnWizards.




Welcome to CnPack Forum (http://bbs.cnpack.org/) Powered by Discuz! 5.0.0