CnPack Forum » CnWizards IDE Wizards » Suggestion: Block lines (if then, begin end)


2008-9-5 18:13 jac2jac2
Suggestion: Block lines (if then, begin end)

D7.
I think the block lines are amazing, they really help.
The only time the fall short is when you have an if then else, where the else element is a single statement. Normally indentation ensures it is obvious that the next line after the else statement is always executed.  It would be good if the line could extend to the end of that else statement, to make it obvious.
e.g.
|if something then
|  do
|else
| do something else;
Carry on

I hope that makes sense.

As always, thanks for a great package.

2008-9-5 18:17 jac2jac2
example.

2008-9-5 20:31 Passion
Oh, our lines now only process to the keywords, no analysis to the clauses between keywords.

If add this function, it will changes a lot in our code for our design limitation.

And if the clause after else is included, how about the one after "if then"? And while do, for do? I think it brings much change to our CnWizards.:lol

页: [1]


Powered by Discuz! Archiver 5.0.0  © 2001-2006 Comsenz Inc.